Synopsys Design Platform通过三星 8LPP工艺技术认证

最新更新时间:2018-05-31来源: 消费电子关键字:三星  8LPP 手机看文章 扫描二维码
随时随地手机看文章

经硅验证的参考设计流程为高性能、低功耗应用带来高质量结果和时间优势


2018年5月31日,中国 北京——全球第一大芯片自动化设计解决方案提供商及全球第一大芯片接口IP供应商、信息安全和软件质量的全球领导者Synopsys(NASDAQ: SNPS)宣布,Synopsys Design Platform已通过全球领先半导体技术企业三星电子的工艺认证,支持三星代工部门的8nm LPP(低功耗+)工艺。Synopsys Design Platform可以为8LPP工艺的多次图形曝光光刻技术和全颜色感知变化技术,提供完整的全流程支持。


Synopsys的SiliconSmart®库表征工具是开发认证过程和参考流程所需基础IP的关键。认证过程还包括一套与Synopsys Lynx设计系统兼容的可扩展参考流程,流程包含自动化脚本和设计最佳实践案例。用户可以通过三星先进晶圆代工生态系统(SAFE™)计划获得该参考流程。


三星电子代工业务营销副总裁Ryan Lee表示:“在行业切换到EUV(极紫外)光刻技术之前,我们的8LPP工艺可以提供最具竞争力的工艺优势。Synopsys一直是我们在新工艺节点研发和赋能方面首选的合作供应商。此次将8LPP在性能、功耗和逻辑门密度方面的优势,与Synopsys Design Platform的高质量结果和时间优势相结合,可以使我们的共同客户设计出满足高性能、低功耗应用的最具竞争力的8LPP片上系统(SoC)产品。”


Synopsys设计事业群营销和业务开发副总裁Michael Jackson表示:“行业领先的客户已经部署经硅验证的Synopsys Design Platform去设计和生产速度更快、功耗更低的8LPP芯片。我们的参考设计流程通过三星 SAFE计划得到广泛应用,让设计人员可以快速、安心地通过Synopsys Design Platform切换到三星的8LPP工艺,充分利用8LPP更窄的金属间距所带来的结果质量优势。”


基于Armv8-A 架构的64位Arm Cortex-A53处理器,可以对结果质量(QoR)进行优化和流程认证。Synopsys Design Platform 8LPP参考流程的关键工具和功能包括:


• IC Compiler™ II布局和布线:多次图形曝光和颜色感知的物理实现流程,具有自动化电源及接地(PG)综合与设计内置的电压降感知改进。


• Design Compiler® Graphical RTL综合:具有布线拥塞改善和物理引导功能,与IC Compiler II密切关联。


• DFTMAX™和TetraMAX® II测试:基于FinFET、单元感知,以及基于时序裕量的在速转换测试,可获得更高的测试质量。


• Formality® 形式验证:基于UPF的等价性检查,状态转换验证。


• IC Validator signoff物理验证:高性能的DRC signoff 、LVS感知的短路查找器、signoff 填充、模式匹配,以及独特的设计内置(In-Design)验证,可以在IC Compiler II中自动修复DRC,以及实现准确感知时序的金属填充。


• PrimeTime®时序signoff: 具有模式合并、采用先进波形传播(AWP)的超低电压时序signoff、参量化片上变化(POCV)分析和感知布局规则的工程变更指令(ECO)指导等功能。


• StarRC™提取:多次图形曝光,全颜色感知变化和3D FinFET建模。


三星 SAFE™计划现在已可提供与Synopsys的Lynx设计系统兼容的经认证的可扩展参考流程。Lynx设计系统是一个全芯片设计环境,包括创新的自动化和报告功能,可帮助设计人员实施和监控其设计。它提供了一个生产级RTL-to-GDSII流程,可简化和自动化完成许多关键的设计实现和验证任务,使工程师能够专注于实现性能和设计目标。三星 SAFE™计划提供了三星代工经广泛测试的工艺设计工具包(PDK)和参考流程(包含设计方法)。

关键字:三星  8LPP 编辑:王磊 引用地址:Synopsys Design Platform通过三星 8LPP工艺技术认证

上一篇:切入AI领域的重要秘密奇兵?宏达电挖来前Google大将助阵
下一篇:满足10nm~7nm制程 巴斯夫嘉兴工厂电子级硫酸装置投入运营

推荐阅读最新更新时间:2023-10-13 10:35

三星提前开放预订Galaxy 10
根据《Business Insider》报导,三星即将在2月20日发布Galaxy 10系列手机,而在正式发表之前,官网上已经率先开放预订。 在2月13日至2月20日之间,消费者可以上官网申请预订资格,且必须要在72小内完成申请。若超过72小时还没完成,就会失去优先购买的资格。在这之后,消费者还必须要在2月21日至3月7日之间上官网填写购买信息,才算是正式完成订购。 三星的网站上并没有明确表示预订的手机型号为何,仅以“下一代的Galaxy”表示。但《Business Insider》认为,若将时间点列入考量,应该就是Galaxy 10无误。另外,三星也推出旧机换新机的服务,最高可折抵550美金。根据报导,三星将会在3月8日
[手机便携]
三星为何生产曲面屏幕智能手机?
    弧面显示屏有什么好处呢?对于这个问题,实际上三星也没有予以详细说明,不过该公司曾提到,手持这款手机很舒适。难道用户曾抱怨平板、矩形智能手机太难握住? 除了弧面设计外,Galaxy Round显示屏(其颜色被三星称为奢华棕色)还有两项新功能。其一,通过简单摇晃手机,用户就能激活手机的状态显示屏,显示时间、电池电量、未接电话。其二,通过将手机向一侧倾斜,用户就能转换音乐曲目或进入手机相册。 对于这些新特点,分析师们提出了这样一个问题:开发出这些新功能是因为它们很重要,还是仅仅因为三星可以做到?《商业周刊》特约撰稿人萨姆-戈巴特认为更倾向于后者,在他看来,弧面显示屏并没有任何明显优势,可能还有一些缺点,如一个弧面手机放在口袋里看起
[手机便携]
张忠谋:大陆半导体制造落后台积电五年,三星是最强对手
台积电创办人张忠谋6日到司法院演讲,分享「珍惜台湾半导体晶圆制造的优势」,并接受提问,对于台湾要找下一座对全世界重要,又在台湾有高市场占有率的「护岛神山」,张忠谋直言「难」! 张忠谋昨日演讲提及,他上大学才生平第一次看到「跟礼堂一样大」的电脑,当时电脑的功能,现在手机就有了;1987年他在台成立台积电,从事商业模式半导体,这是业界重要里程碑,让台湾半导体在世界站起来。 张忠谋说,半导体无处不重要,从国防、工商业的电脑,到日常生活的手机及汽车,以前用不起半导体的,随行业发展,都会开始有需求,如助听器等,疫情期间,可能改以视讯会议代替实体,也增加芯片需求。 张忠谋说,假如护岛神山的定义,是对全世界重要,台湾又有高市场占有率
[半导体设计/制造]
主打自拍功能 三星Galaxy Grand Prime曝光
三星Galaxy Grand Prime曝光 新浪手机讯 9月17日上午消息,近日,外媒曝光了几张号称是三星新机Galaxy Grand Prime的真机谍照,该机将主打的是自拍功能。   从曝光图上看,Galaxy Grand Prime外形与之前推出的Galaxy Grand基本一致。配置上该机配备5英寸qHD分辨率屏幕,采用高通骁龙400四核处理器,辅以1GB的RAM;主摄像头像素为800万,前置为500万,支持双卡双待、3G、Wi-Fi a/b/g/n、蓝牙4.0,机身自带8GB存储空间并且支持扩展,预装Android 4.4系统。   据悉,三星将在今年十月份发布这款机型,目前还没有公布这款手机的售价情况。
[手机便携]
三星S9、S9 Plus曝光:配置差别更大
消费大升级时代,用户不吝惜接受那些价格高的产品,当然前提条件下其价值要能支撑起售价,回到手机圈,今年各厂商的主旋律依然是涨价,特别是旗舰机。 在旗舰机的策略上,安卓阵营的老大哥三星一直都效仿苹果,采用双旗舰策略,所以每年的Galaxy S系列旗舰机上,他们也都会准备一大一小的手机,而今年的S9也不例外。 据外媒GeekSnipper报道称,三星今年要调整相关策略,简单理解就是,让Galaxy S9和Galaxy S9 Plus区别更大一些,而不是简单的屏幕上的差别,这样除了增加两者的辨识度外,还为更好的涨价做准备。 报道中还提到,Galaxy S9和S9+除了屏幕大小上不同外,其内存上也不会一样,而前者是4GB内
[手机便携]
三星Note7官翻版现身:Exynos8890未降频
据电子报道:三星Note7官翻版目前仍然是“只闻其声,不见其人”,据悉该机名为Note7 FE或Note7R,现在它已经现身跑分网站Geekbench,数据显示其搭载Exynos 8890处理器,但是否是降频的版本呢?   数据显示三星Note7官翻版搭载的处理器为1.6GHz,这应该是其小核的频率,跟原版是一样的,另外同样辅以4GB内存。   从跑分来看,该机单核成绩1957分,多核成绩6092分,跟其它机型对比发现,这颗处理器仍然老当益壮,与骁龙835和Exynos 8895相比也没有落后太多,看来该机更小的电池并没有让三星将其降频处理。   根据此前的报道,三星Note7官翻版在韩国的上市时间已经推迟到7月
[手机便携]
三星Note8国行已获核准 将于9月份发布
eeworld网早间播报:虽说不少人还在关注三星GALAXY Note 7官翻版,但下代的GALAXY Note 8国行却已经在路上。日前,根据网友在微博的爆料称,三星GALAXY Note 8国行的公开版和移动版已经通过了无线电发射型号核准,手机型号分别为SM-N9500和N9508,均具备双卡双待和全网通功能,预计将在今年9月份正式与我们见面。 国行获核准 最近有关三星GALAXY Note 8的各种消息爆料不少,包括将首次配备双摄像头和采用屏幕下指纹传感器等等。而现在,根据网友在微博披露的消息称,三星旗下已经有SM-N9500和N9508两个型号的新机获得了无线电发射型号核准,均支持双卡双待和全网通功能。 由于去
[网络通信]
三星和HTC:谁才是苹果最头疼的对手?
    苹果成精了,现在它不单是手机,也不特指水果,更不是神马竞争对手眼中的街机或者花花公子,而是一个时代的印记。难怪有网友戏虐:我能想到最浪漫的事,就是和你一起拿着iPhone N+1极品飞车…但任何事物走向极致后都要面对无法突破的瓶颈以及越来越多之对手的强劲挑战,还好,这是一个智能手机的战国时代,不至于让苹果独孤求败…       流火的七月酷热难耐,也是各路豪强炫富的季节,而其中最耀眼的明星非苹果和旗下产品iPhone4莫属。7月20日,苹果发布了2010第三财季度财报,当季营收258.7亿美元,同比增长81.9%,净利润则为73.1亿美元,赚得钱是去年同期的两倍...自然,这些数字也超出了华尔街、长安街的分析师或者算
[手机便携]
小广播
最新半导体设计/制造文章
换一换 更多 相关热搜器件

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 市场动态 半导体生产 材料技术 封装测试 工艺设备 光伏产业 平板显示 EDA与IP 电子制造 视频教程

词云: 1 2 3 4 5 6 7 8 9 10

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved